先进制造业知识服务平台
国家科技图书文献中心机械分馆  工信部产业技术基础公共服务平台  国家中小企业公共服务示范平台

期刊


ISSN0741-3106
刊名IEEE Electron Device Letters
参考译名IEEE电子器件快报
收藏年代1998~2007



全部

1998 1999 2000 2001 2002 2003
2004 2005 2006 2007

1998, vol.19, no.1 1998, vol.19, no.10 1998, vol.19, no.11 1998, vol.19, no.12 1998, vol.19, no.2 1998, vol.19, no.3
1998, vol.19, no.4 1998, vol.19, no.5 1998, vol.19, no.6 1998, vol.19, no.7 1998, vol.19, no.8 1998, vol.19, no.9

题名作者出版年年卷期
A degradation mechanism of EEPROM cell operational margins which remains undetected by conventional quality assuranceH. J. Mattausch; R. Allinger; M. Kerber; H. Braun19981998, vol.19, no.11
A mechanism for hydrogen-related transient effects in carbon-doped AlGaAs/GaAs heterostructure bipolar transistorsJim Y. Chi; Ke Lu19981998, vol.19, no.11
A new gate current extraction technique for measurement of on-state breakdown voltage in HEMT'sM. H. Somerville; R. Blanchard; J. A. del. Alamo; G. Duh; P. C. Chao19981998, vol.19, no.11
A simple and efficient self-limiting erase scheme for high performance split-gate flash memory cellsB. J. Ahn; J. H. Sone; J. W. Kim; I. H. Choi; D. M. Kim19981998, vol.19, no.11
Application of plasma immersion ion implantation doping to low-temperature processed poly-Si TFY'sChing-Fa Yeh; Tai-Ju Chen; Chung Liu; Jiqun Shao; N. W. Cheung19981998, vol.19, no.11
Effect of interconnect layer on Pb(Zr, Ti)O{sub}3 thin film capacitor degradationS. Kobauashi; K. Amanuma; H. Hada19981998, vol.19, no.11
Fabrication of low dielectric constant materials for ULSI multilevel interconnection by plasma ion implantationShu Qin; Yuanzhong Zhou; Chung Chan; P. K. Chu19981998, vol.19, no.11
Hot hole stress induced leakage current (SILC) transient in tunnel oxidesThaui Wang; Nian-Kai Zous; Jia-Long Lai; Chimoon Huang19981998, vol.19, no.11
Improvement of hot carrier reliability with deuterium anneals for manufacturing multilevel metal/dielectric MOS systemsI. C. Kizilyalli; G. C. Abeln; Z. Chen; J. Lee; G. Weber; B. Kotzias; S. Chetlur; J. W. Lyding; K. Hess19981998, vol.19, no.11
MOS transistors with stacked SiO{sub}2-Ta{sub}2O{sub}5-SiO{sub}2 gate dielectrics for Giga-scale integration of CMOS technologiesI. C. Kizilyalli; R. Y. S. Huang; P. K. Roy19981998, vol.19, no.11
12